Module: maths > delay > quaternion_delay

Documentation > Modules > maths > delay > quaternion_delay

Module: maths > delay > quaternion_delay

Description

Records values in a buffer with a size of buffer_size and outputs the value as it was a delay seconds ago. Try as few buffer elements as possible, usually 8 can be enough.

Values are interpolated with SLERP (Spherical Linear Interpolation).

Input Parameters

quaternion_in

Type: quaternion

Connections: Allowed

Compatible modules

delay

Type: float

Connections: Allowed

Compatible modules

buffer_size

Type: enum

Connections: Enums do not support connections.

Enumeration possible values:

Output Parameters

result

Type: quaternion

Connections: Allowed

Compatible modules